Список симуляторов HDL

Симуляторы HDL — это программные пакеты, которые имитируют выражения, написанные на одном из языков описания оборудования , таких как VHDL , Verilog , SystemVerilog .

На этой странице представлен список современных и исторических симуляторов, ускорителей, эмуляторов HDL и т. д.

Собственные симуляторы

Список симуляторов HDL в алфавитном порядке по названию
Название симулятораАвтор/компанияЯзыкиОписание
Актив-ЛПВП / Ривьера-ПРОАлдекVHDL-1987,-1993,-2002,-2008,-2019 V1995, V2001, V2005, SV2009, SV2012, SV2017Active-HDL — это симулятор Aldec на базе Windows с полной графической средой ввода и проверки HDL, предназначенный для приложений FPGA и SoC FPGA. Riviera-PRO — это симулятор Aldec на базе Windows/Linux с полной средой проверки, предназначенный для приложений FPGA, SoC FPGA и ASIC. Оба симулятора Aldec являются наиболее экономически эффективными симуляторами в отрасли с расширенными возможностями отладки и высокопроизводительными движками моделирования, поддерживают расширенные методологии проверки, такие как проверка на основе утверждений и UVM. Симуляторы Aldec имеют полную реализацию VHDL-2008 и являются первыми, кто предлагает функции VHDL-2019. У Aldec самый экономически эффективный коммерческий симулятор в отрасли.
Эол-DSHuada Empyrean Software Co., LtdV2001Aeolus-DS является частью симулятора Aeolus, который предназначен для моделирования цепей со смешанными сигналами. Aeolus-DS поддерживает чистое моделирование Verilog.
Хай-ЛоТерадайнИспользовался в 1980-х годах.
Симулятор Incisive Enterprise («большая тройка»)Системы дизайна CadenceVHDL-1987,-1993,-2002,-2008, V2001, SV2005, SV2009, SV2012, SV2017Cadence изначально приобрела Gateway Design, тем самым приобретя Verilog-XL. В ответ на конкуренцию со стороны более быстрых симуляторов Cadence разработала свой собственный симулятор на компилируемом языке, NC-Verilog. Современная версия семейства NCsim, называемая Incisive Enterprise Simulator, включает поддержку Verilog, VHDL и SystemVerilog. Она также обеспечивает поддержку языка верификации e и быстрого ядра симуляции SystemC.
Симулятор ISEXilinxVHDL-93, V2001Симулятор Xilinx поставляется в комплекте с ISE Design Suite. ISE Simulator (ISim) обеспечивает поддержку моделирования смешанного режима языка, включая, помимо прочего, моделирование проектов, предназначенных для ПЛИС и ПЛИС Xilinx.
Симулятор облака метрикМетрики ТехнологииSV2012Симулятор SystemVerilog, используемый на облачной платформе Metrics. Включает все стандартные функции современного симулятора SystemVerilog, включая отладку, API, поддержку языка и тестового стенда.
ModelSim / Questa ('большая тройка')Графика наставникаVHDL-1987,-1993,-2002,-2008, V2001, SV2005, SV2009, SV2012, SV2017Оригинальный симулятор Modeltech (VHDL) был первым симулятором смешанного языка, способным моделировать объекты дизайна VHDL и Verilog вместе. В 2003 году ModelSim 5.8 стал первым симулятором, начавшим поддерживать функции стандарта Accellera SystemVerilog 3.0. [1] В 2005 году Mentor представил Questa для обеспечения высокопроизводительного моделирования Verilog и SystemVerilog и расширения возможностей верификации до более продвинутых методологий, таких как верификация на основе утверждений и функциональное покрытие. Сегодня Questa является ведущим высокопроизводительным симулятором SystemVerilog и Mixed, поддерживающим полный набор методологий, включая отраслевые стандарты OVM и UVM. ModelSim по-прежнему является ведущим симулятором для проектирования ПЛИС.
MPSimАксиома Дизайн АвтоматизацияV2001, V2005, SV2005, SV2009MPsim — это быстро скомпилированный симулятор с полной поддержкой Verilog, SystemVerilog и SystemC. Он включает в себя Designer, интегрированную среду отладки Verilog и SystemVerilog и имеет встроенную поддержку многопроцессорного моделирования.
Чистая скоростьЛиния фронтаV1995Первый симулятор Verilog, доступный в ОС Windows. У симулятора был аналог на основе цикла под названием «CycleDrive». FrontLine был продан Avant! в 1998 году, который позже был приобретен Synopsys в 2002 году. Synopsys прекратила поддержку Purespeed в пользу своего хорошо зарекомендовавшего себя симулятора VCS.
Симулятор Quartus II (Qsim)АльтераVHDL-1993, V2001, SV2005Симулятор Altera в комплекте с программным обеспечением для проектирования Quartus II в версии 11.1 и более поздних. Поддерживает Verilog, VHDL и AHDL .
СИЛОСАСильвакоV2001Как один из недорогих интерпретируемых симуляторов Verilog, Silos III от SimuCad пользовался большой популярностью в 1990-х годах. С приобретением SimuCad компанией Silvaco, Silos стал частью набора инструментов Silvaco EDA.
АНАЛОГ VHDLСимфония ЭДАVHDL-1993Еще один недорогой симулятор VHDL с графическим пользовательским интерфейсом и встроенным просмотрщиком формы сигнала. Их веб-сайт не обновлялся уже довольно давно. Вы больше не можете купить программное обеспечение. Бесплатная версия работает, но вам нужно запросить лицензию по электронной почте.
РАЗГРОМИТЬИнтеграция дельфиновV1995, V2001, VHDL-1993SMASH — это многоязыковой симулятор смешанных сигналов для проектирования ИС или печатных плат. Он использует синтаксис SPICE для аналоговых описаний, Verilog-HDL и VHDL для цифровых, Verilog-A/AMS, VHDL-AMS и ABCD (комбинация SPICE и C) для аналоговых поведенческих и C для алгоритмов DSP.
СпидсимСистемы дизайна CadenceV1995Симулятор на основе цикла, изначально разработанный в DEC. Разработчики DEC отделились и основали Quickturn Design Systems. Позже Quickturn был приобретен Cadence, которая прекратила выпуск продукта в 2005 году. Speedsim отличался инновационной архитектурой слотовых бит-слайсов, которая поддерживала моделирование до 32 тестов параллельно.
Супер-ФинСимФинтроникV2001Этот симулятор доступен на нескольких платформах и соответствует стандарту IEEE 1364-2001.
ТЕГАС / TexsimТЕГАС/КАЛМА/GETDL (язык проектирования Tegas)Впервые описан в статье 1972 года, использовался в 1980-х годах поставщиками ASIC, такими как LSI Logic, GE.
VCS («большая тройка»)СинопсисVHDL-1987,-1993,-2002,-2008, V2001, SV2005, SV2009, SV2012, SV2017Первоначально разработанный Джоном Сангинетти, Питером Эйхенбергером и Майклом Макнамарой в рамках стартап-компании Chronologic Simulation, которая была приобретена ViewLogic Systems в 1994 году. ViewLogic был впоследствии приобретен Synopsys в 1997 году. VCS находится в непрерывной активной разработке и является пионером в области моделирования скомпилированного кода, собственного тестового стенда и поддержки SystemVerilog, а также унифицированных технологий компиляции. Сегодня VCS предоставляет комплексную поддержку для всех методов и языков функциональной верификации (включая VHDL, Verilog, SystemVerilog, Verilog AMS, SystemC и C/C++), а также передовых технологий моделирования, включая собственное низкое энергопотребление, x-распространение, анализ недоступности и мелкозернистый параллелизм.
Verilogger Extreme / ProSynaptiCADV2001, V1995Verilogger Pro — это недорогой интерпретируемый симулятор на основе кодовой базы VeriWell Эллиота Медника. Verilogger Extreme — это более новый симулятор с компилированным кодом, совместимый с Verilog-2001 и намного более быстрый, чем Pro.
Verilog-XLСистемы дизайна CadenceV1995Оригинальный симулятор Verilog, Verilog-XL от Gateway Design, был первым (и единственным, на какое-то время) симулятором Verilog, который был квалифицирован для одобрения ASIC (валидации). После его приобретения Cadence Design Systems, Verilog-XL изменился очень мало за эти годы, сохранив интерпретируемый языковой движок и заморозив языковую поддержку на Verilog-1995. Cadence рекомендует Incisive Enterprise Simulator для новых проектов проектирования, поскольку XL больше не получает активной разработки. Тем не менее, XL продолжает находить применение в компаниях с большими кодовыми базами устаревшего Verilog. Многие ранние кодовые базы Verilog будут правильно моделировать только в Verilog-XL из-за различий в реализации языка других симуляторов.
ВеритакСистемы СугавараV2001Он недорогой и работает только на базе Windows. Он может похвастаться встроенным просмотрщиком формы волны и быстрым выполнением.
Симулятор Xilinx (XSIM)XilinxVHDL-1993,-2002 (подмножество),-2008 (подмножество), [2] V2001, V2005, SV2009, SV2012, SV2017Xilinx Simulator (XSIM) входит в состав пакета проектирования Vivado . Это компилируемый симулятор, который поддерживает смешанное языковое моделирование с языками Verilog, SystemVerilog, VHDL и SystemC. Он поддерживает стандартные инструменты отладки, такие как пошаговый код, точки останова, перекрестное зондирование, зонды значений, стек вызовов и окно локальных переменных. Просмотрщик формы сигнала в Xilinx Simulator поддерживает функции виртуальной шины, группировки сигналов, аналогового представления и просмотра протоколов. Он также поддерживает UVM 1.2 и функциональное покрытие для расширенной проверки. Он поддерживает как графический интерфейс, так и пакетный режим через скрипт TCL и позволяет моделировать зашифрованные IP-адреса. Xilinx Simulator поддерживает интерфейс прямого программирования SystemVerilog (DPI) и интерфейс симулятора Xilinx (XSI) для подключения модели C/C++ к симулятору Xilinx.
Z01XWinterLogic (приобретена Synopsys в 2016 году)V2001, SV2005Разработан как имитатор неисправностей, но может также использоваться как логический имитатор.

Некоторые коммерческие фирменные симуляторы (например, ModelSim) доступны в студенческих или ознакомительных/демонстрационных версиях. Эти версии обычно имеют много отключенных функций, произвольные ограничения на размер симуляционного проекта, но иногда предлагаются бесплатно.

Бесплатные и открытые симуляторы

Симуляторы Verilog

Список симуляторов Verilog в алфавитном порядке
Название симулятораЛицензияАвтор/компанияПоддерживаемые языкиОписание
КаскадБСДИсследования VMwareV2005 (большое подмножество)Симулятор и компилятор Verilog Just-in-Time для ПЛИС, позволяющий мгновенно запускать как синтезируемый, так и несинтезируемый Verilog на оборудовании
CVCХудожественная лицензия в стиле Perl [3]Автоматизация проектирования тахионовВ2001, В2005CVC — это скомпилированный симулятор Verilog HDL. CVC может моделировать как в интерпретируемом, так и в компилируемом режиме.
GPL CverGPLПрограммное обеспечение Pragmatic CV1995, минимум V2001Это симулятор с открытым исходным кодом GPL. Это чистый симулятор. Этот симулятор не полностью соответствует IEEE 1364-2001. Он не поддерживает функции генерации и константы.
Икар ВерилогGPL2+Стивен УильямсV1995, V2001, V2005, ограниченный SV2005/SV2009/SV2012Также известен как iverilog. Хорошая поддержка Verilog 2005, включая операторы генерации и константные функции.
Моделирование смешанных сигналов и доменов IsotelGPLngspice , сообщества Yosys и IsotelV2005Симулятор смешанных сигналов ngspice с открытым исходным кодом в сочетании с программным обеспечением для синтеза Verilog под названием Yosys и расширением Isotel для встроенного совместного моделирования на C/C++ (или другом языке).
ПОДЪЕМА. Бозио, Дж. Ди Натале (LIRMM)V1995LIFTING (симулятор неисправностей LIRMM) — это симулятор с открытым исходным кодом, способный выполнять как логическое моделирование, так и моделирование неисправностей для одиночных/множественных константных неисправностей и сбоев в работе из-за одиночного события (SEU) в цифровых схемах, описанных на языке Verilog.
ОСС CVCХудожественная лицензия в стиле PerlАвтоматизация проектирования тахионовВ2001, В2005CVC — это скомпилированный симулятор Verilog HDL. CVC может моделировать как в интерпретируемом, так и в компилируемом режиме. Исходный код доступен по художественной лицензии Perl style.
TkGateGPL2+Джеффри П. ХансенV1995Редактор и симулятор цифровых схем, управляемый событиями, с графическим интерфейсом пользователя tcl/tk на основе Verilog. Включает симулятор Verilog Verga.
ВерификаторGPL3ВерипулV1995, V2001, V2005, SV2005, SV2009, SV2012, SV2017, SV2023Verilator — это очень высокоскоростной симулятор с открытым исходным кодом, который компилирует Verilog в многопоточный C++/SystemC. Ранее Verilator требовал, чтобы код тестового стенда был написан как синтезируемый RTL или как тестовый стенд C++ или SystemC, поскольку Verilator не поддерживал поведенческий Verilog. Теперь они поддерживаются.
Поведенческий симулятор Verilog (VBS)GPLЛэй Х. Тхо и Джимен ЧингV1995Поддерживает функции, задачи и создание экземпляров модулей. Имеет несколько функций, но в этом выпуске достаточно для студента VLSI, чтобы использовать и изучать Verilog. Поддерживает только поведенческие конструкции Verilog и минимальные конструкции моделирования, такие как «начальные» операторы.
ВериВеллGPL2Эллиот МедникV1995Этот симулятор раньше был проприетарным, но недавно стал GPL с открытым исходным кодом. Соответствие 1364 не очень хорошо документировано. Он не полностью соответствует IEEE 1364–1995.

Симуляторы VHDL

Список симуляторов VHDL в алфавитном порядке
Название симулятораЛицензияАвтор/компанияПоддерживаемые языкиОписание
FreeHDLGPL2+Эдвин НароскаVHDL-1987, VHDL-1993Проект по разработке бесплатного симулятора VHDL с открытым исходным кодом
ГГДЛGPL2+Тристан ДжинголдVHDL-1987, VHDL-1993, VHDL-2002, частичный VHDL-2008, частичный VHDL-2019 [4]GHDL — это полноценный симулятор VHDL, использующий технологию GCC.
ННОGPL-3.0 или более поздняя версияНик Гассон и участникиVHDL-1993, VHDL-2002, VHDL-2008, частичный VHDL-2019 [5]NVC — это компилятор и симулятор VHDL GPLv3. Он доступен для различных дистрибутивов Linux, macOS, Windows (через Cygwin или MSYS2) и OpenBSD.

Ключ

ЯрлыкОписание
V1995IEEE 1364-1995 Verilog
V2001IEEE 1364-2001 Верилог
V2005IEEE 1364-2005 Verilog
СВ2005IEEE 1800-2005 SystemVerilog
SV2009IEEE 1800-2009 SystemVerilog
SV2012IEEE 1800-2012 SystemVerilog
SV2017IEEE 1800-2017 SystemVerilog
SV2023IEEE 1800-2023 SystemVerilog
VHDL-1987IEEE 1076 -1987 VHDL
VHDL-1993IEEE 1076 -1993 VHDL
VHDL-2002IEEE 1076-2002 VHDL
VHDL-2008IEEE 1076-2008 VHDL
VHDL-2019IEEE 1076-2019 VHDL

Смотрите также

Ссылки

  1. ^ http://www.sutherland-hdl.com/papers/2004-Mentor-U2U-presentation_SystemVerilog_and_ModelSim.pdf [ пустой URL-адрес PDF ]
  2. ^ «Сообщество клиентов AMD».
  3. ^ "Лицензия с открытым исходным кодом и часто задаваемые вопросы | Tachyon Design-Automation". www.tachyon-da.com . Получено 2022-11-03 .
  4. ^ Основные характеристики, ghdl, 2023-10-01 , получено 2023-10-02
  5. ^ "Файл NVC README". GitHub . Получено 11 августа 2023 г.
Retrieved from "https://en.wikipedia.org/w/index.php?title=List_of_HDL_simulators&oldid=1241408568"